PIC #23: [CCS] Alternando o LED cada vez que o botão é pressionado

PIC #23: [CCS] Alternando o LED cada vez que o botão é pressionado

Compartilhe:

Nessa aula vamos aprender muitas coisas:
– Como alternar o estado de um LED cada vez que o botão é pressionado;
– Dicas de alinhamento das estruturas if e while;
– Um novo comando, o output_toggle;
– Entendendo a necessidade de delays em alguns códigos que utilizam botões.

Aulas Relacionadas:

Publicidade:

Comentários:

Atenção: se tiver dúvidas, prefira colocá-las no fórum. Lá será mais fácil obter uma resposta!

plugins premium WordPress

Inscreva-se

Receba informações exclusivas das novidades do GVensino.
Sem Spam.